Search results

Search for "reactive ion etching" in Full Text gives 62 result(s) in Beilstein Journal of Nanotechnology.

Design, fabrication, and characterization of kinetic-inductive force sensors for scanning probe applications

  • August K. Roos,
  • Ermes Scarano,
  • Elisabet K. Arvidsson,
  • Erik Holmgren and
  • David B. Haviland

Beilstein J. Nanotechnol. 2024, 15, 242–255, doi:10.3762/bjnano.15.23

Graphical Abstract
  • -beam lithography and reactive-ion etching. We simulate the electromagnetic response of the meandering nanowire inductors using Sonnet, a quasi-3D electromagnetic simulator [32], which has the feature of including sheet kinetic inductance Lk,□. We begin by simulating the meandering inductor itself to
PDF
Album
Full Research Paper
Published 15 Feb 2024

TEM sample preparation of lithographically patterned permalloy nanostructures on silicon nitride membranes

  • Joshua Williams,
  • Michael I. Faley,
  • Joseph Vimal Vas,
  • Peng-Han Lu and
  • Rafal E. Dunin-Borkowski

Beilstein J. Nanotechnol. 2024, 15, 1–12, doi:10.3762/bjnano.15.1

Graphical Abstract
  • layer on the resist, creating fences on the edge of the structure and contaminating the silicon nitride membrane. A possible solution is replacing IBE with reactive ion etching (RIE). Using RIE, there would be less redeposition since the reaction between gas and etched metal will form a gaseous compound
PDF
Album
Supp Info
Full Research Paper
Published 02 Jan 2024

Spatial mapping of photovoltage and light-induced displacement of on-chip coupled piezo/photodiodes by Kelvin probe force microscopy under modulated illumination

  • Zeinab Eftekhari,
  • Nasim Rezaei,
  • Hidde Stokkel,
  • Jian-Yao Zheng,
  • Andrea Cerreta,
  • Ilka Hermes,
  • Minh Nguyen,
  • Guus Rijnders and
  • Rebecca Saive

Beilstein J. Nanotechnol. 2023, 14, 1059–1067, doi:10.3762/bjnano.14.87

Graphical Abstract
  • finalized by etching circular holes from the backside of the wafer to obtain thin membranes. The sizes of these holes were defined by applying and patterning a photoresist on the backside of the wafer, which was then anisotropically etched by deep reactive ion etching (DRIE) using SF6, O2, and C4F8 gases
PDF
Album
Supp Info
Full Research Paper
Published 06 Nov 2023

Observation of collective excitation of surface plasmon resonances in large Josephson junction arrays

  • Roger Cattaneo,
  • Mikhail A. Galin and
  • Vladimir M. Krasnov

Beilstein J. Nanotechnol. 2022, 13, 1578–1588, doi:10.3762/bjnano.13.132

Graphical Abstract
  • using photolithography and reactive ion etching. The JJ sensor with variable thickness and a width of ≈100 nm is made by Ga+ focused ion beam etching. The JJ is made small in order to increase its resistance Rn to approx. 50 Ω, which is needed for a good impedance matching with the antenna. In order to
PDF
Album
Full Research Paper
Published 28 Dec 2022

Coherent amplification of radiation from two phase-locked Josephson junction arrays

  • Mikhail A. Galin,
  • Vladimir M. Krasnov,
  • Ilya A. Shereshevsky,
  • Nadezhda K. Vdovicheva and
  • Vladislav V. Kurin

Beilstein J. Nanotechnol. 2022, 13, 1445–1457, doi:10.3762/bjnano.13.119

Graphical Abstract
  • self-aligning process using e-beam lithography and reactive ion etching [16][17]. Similar arrays were studied earlier in [9][12][13], where additional information about sample characterization can be found. Figure 1a,b shows the layout of “sample-1”. It has been fabricated on a 1 × 1 cm2 silicon
PDF
Album
Full Research Paper
Published 06 Dec 2022

Double-layer symmetric gratings with bound states in the continuum for dual-band high-Q optical sensing

  • Chaoying Shi,
  • Jinhua Hu,
  • Xiuhong Liu,
  • Junfang Liang,
  • Jijun Zhao,
  • Haiyan Han and
  • Qiaofen Zhu

Beilstein J. Nanotechnol. 2022, 13, 1408–1417, doi:10.3762/bjnano.13.116

Graphical Abstract
  • structure can be fabricated as follows [56]. At first, the gratings of the bottom layer are fabricated using electron beam lithography (EBL) and reactive ion etching (RIE) on a SOI chip with a single crystalline silicon device layer and a buried oxide (BOX), where this SOI chip serves as the receiving
PDF
Album
Full Research Paper
Published 25 Nov 2022

Roll-to-roll fabrication of superhydrophobic pads covered with nanofur for the efficient clean-up of oil spills

  • Patrick Weiser,
  • Robin Kietz,
  • Marc Schneider,
  • Matthias Worgull and
  • Hendrik Hölscher

Beilstein J. Nanotechnol. 2022, 13, 1228–1239, doi:10.3762/bjnano.13.102

Graphical Abstract
  • using various dry/wet etching techniques including electrochemical HF etching, stain etching, metal-assisted etching, and reactive ion etching [9][11]. So-called “nanograss” or “black silicon” is a surface modification of silicon where the surface is covered with millions of tiny needle-like structures
PDF
Album
Supp Info
Full Research Paper
Published 31 Oct 2022

Optimizing PMMA solutions to suppress contamination in the transfer of CVD graphene for batch production

  • Chun-Da Liao,
  • Andrea Capasso,
  • Tiago Queirós,
  • Telma Domingues,
  • Fatima Cerqueira,
  • Nicoleta Nicoara,
  • Jérôme Borme,
  • Paulo Freitas and
  • Pedro Alpuim

Beilstein J. Nanotechnol. 2022, 13, 796–806, doi:10.3762/bjnano.13.70

Graphical Abstract
  • to the surface of the chips. A stopping layer (Cu/AlSiCu/TiW) for the reactive ion etching (RIE) process was sputtered, and the SiO2/SiNx multistack passivation layer was deposited by CVD. The passivation layer was patterned by lithography and etched by RIE until revealing the stopping layer on the
PDF
Album
Supp Info
Full Research Paper
Published 18 Aug 2022

Fabrication and testing of polymer microneedles for transdermal drug delivery

  • Vahid Ebrahiminejad,
  • Zahra Faraji Rad,
  • Philip D. Prewett and
  • Graham J. Davies

Beilstein J. Nanotechnol. 2022, 13, 629–640, doi:10.3762/bjnano.13.55

Graphical Abstract
  • to grow to $50.6 billion by 2025 [6]. To enable mass manufacturing of MNs, factors such as reproducibility, fabrication precision, lower production cost, and time should be addressed. For instance, manufacturing techniques such as reactive ion etching and deep reactive ion etching incorporate
PDF
Album
Full Research Paper
Published 08 Jul 2022

An overview of microneedle applications, materials, and fabrication methods

  • Zahra Faraji Rad,
  • Philip D. Prewett and
  • Graham J. Davies

Beilstein J. Nanotechnol. 2021, 12, 1034–1046, doi:10.3762/bjnano.12.77

Graphical Abstract
  • biotherapeutics, drugs, and vaccines through the skin. A wide range of microneedle structure, design, geometry, and microneedle array densities is manufactured using different rapid prototyping and microfabrication technologies such as deep reactive ion etching (DRIE) [2], lithography [3], hot embossing [4], and
  • injection moulding [61], wet chemical etching [75], reactive ion etching [2][76], hot embossing [4][5], laser drilling [77], lithography plus electroforming [78][79], drawing lithography [80][81], two-photon polymerization [5][82], and 3D printing [83][84]. To date, DRIE of silicon; micromoulding
  • mask which must be compensated for in the pattern design. Anisotropic etching uses either EDP (ethylenediamine pyrocatechol), hydrazine-based solutions or, most commonly, potassium hydroxide solution. Deep reactive ion etching of silicon is an increasingly common process, performed in a low-pressure
PDF
Album
Review
Published 13 Sep 2021

In situ transport characterization of magnetic states in Nb/Co superconductor/ferromagnet heterostructures

  • Olena M. Kapran,
  • Roman Morari,
  • Taras Golod,
  • Evgenii A. Borodianskyi,
  • Vladimir Boian,
  • Andrei Prepelita,
  • Nikolay Klenov,
  • Anatoli S. Sidorenko and
  • Vladimir M. Krasnov

Beilstein J. Nanotechnol. 2021, 12, 913–923, doi:10.3762/bjnano.12.68

Graphical Abstract
  • were used for the calibration of the etching rates of the films. MLs are patterned into micrometer-scale bridges with multiple contacts using photolithography and reactive ion etching. A scanning electron microscopy (SEM) image of one of the studied samples is shown in Figure 1a. Control of the
PDF
Album
Full Research Paper
Published 17 Aug 2021

Electron beam-induced deposition of platinum from Pt(CO)2Cl2 and Pt(CO)2Br2

  • Aya Mahgoub,
  • Hang Lu,
  • Rachel M. Thorman,
  • Konstantin Preradovic,
  • Titel Jurca,
  • Lisa McElwee-White,
  • Howard Fairbrother and
  • Cornelis W. Hagen

Beilstein J. Nanotechnol. 2020, 11, 1789–1800, doi:10.3762/bjnano.11.161

Graphical Abstract
  • exceeding the maximum pressure allowed in the SEM chamber (approximately 10−4 mbar). A silicon substrate was used for all deposition experiments, patterned such that circular areas of pristine silicon are surrounded by black silicon (obtained by reactive ion etching). The black silicon area aids in focusing
PDF
Album
Supp Info
Full Research Paper
Published 27 Nov 2020

Walking energy harvesting and self-powered tracking system based on triboelectric nanogenerators

  • Mingliang Yao,
  • Guangzhong Xie,
  • Qichen Gong and
  • Yuanjie Su

Beilstein J. Nanotechnol. 2020, 11, 1590–1595, doi:10.3762/bjnano.11.141

Graphical Abstract
  • transportation control, and for environmental monitoring. Experimental Surface modification of a PTFE film The surface modification of a PTFE film was performed in a similar manner as described previously [34]. Deep reactive ion etching was employed to construct PTFE nanowires aligned on the surface. Isopropyl
  • electrode. Through deep reactive ion etching, polymer nanowires (average diameter of ≈150 nm and length values ranging from 410 to 680 nm) were created to vertically align on the surface of the PTFE film, as shown in Figure 1b. This modification on the PTFE surface not only enhances the effective contact
PDF
Album
Full Research Paper
Published 20 Oct 2020

Fabrication of nano/microstructures for SERS substrates using an electrochemical method

  • Jingran Zhang,
  • Tianqi Jia,
  • Xiaoping Li,
  • Junjie Yang,
  • Zhengkai Li,
  • Guangfeng Shi,
  • Xinming Zhang and
  • Zuobin Wang

Beilstein J. Nanotechnol. 2020, 11, 1568–1576, doi:10.3762/bjnano.11.139

Graphical Abstract
  • lithography (EBL) and wet etching consists of 1 μm deep square-based pyramidal pits in the silicon surface. A rhodamine solution (10−4 mol·L−1) is then detected using the Klarite substrate. Candeloro et al. [24] employed EBL and reactive ion etching to machine nanoholes of 400 nm diameter and 50 nm depth
  • and reactive ion etching methods [25]. The Raman intensities of R6G and 4-mercaptopyridine molecules were measured by using different substrates. In addition, the Raman intensity of R6G on the pyramid structures was higher than that of R6G on the other structures in the experiment, and the enhancement
PDF
Album
Full Research Paper
Published 16 Oct 2020

Wafer-level integration of self-aligned high aspect ratio silicon 3D structures using the MACE method with Au, Pd, Pt, Cu, and Ir

  • Mathias Franz,
  • Romy Junghans,
  • Paul Schmitt,
  • Adriana Szeghalmi and
  • Stefan E. Schulz

Beilstein J. Nanotechnol. 2020, 11, 1439–1449, doi:10.3762/bjnano.11.128

Graphical Abstract
  • layers have been patterned with standard photo-resist and a proximity lithography step. The selected full wafer pattern consists of a series of open quadratic test fields. These were transferred from the photo-resist to the PMMA layer using a reactive ion etching process with oxygen. In contrast to the
PDF
Album
Full Research Paper
Published 23 Sep 2020

Integrated photonics multi-waveguide devices for optical trapping and Raman spectroscopy: design, fabrication and performance demonstration

  • Gyllion B. Loozen,
  • Arnica Karuna,
  • Mohammad M. R. Fanood,
  • Erik Schreuder and
  • Jacob Caro

Beilstein J. Nanotechnol. 2020, 11, 829–842, doi:10.3762/bjnano.11.68

Graphical Abstract
  • waveguides is completely decoupled from the silicon substrate. Then, a 100 nm thick layer of Si3N4 is deposited using low pressure chemical vapor deposition (LPCVD, Figure 5b). This layer is patterned using optical lithography and reactive ion etching (RIE) in a fluorine-based plasma, which is followed by
  • (compare Figure 4b) using deep reactive ion etching (DRIE). This is a critical step, since the etch goes 14.3 µm deep down to the substrate, through all the device layers, including the waveguide circuitry at two levels. The etch is highly anisotropic and produces smooth walls of the microbath and thus
  • 35 nm as a result of the tapering down. For reference, the original waveguide thickness of 100 nm is indicated in d) as well (dashed part). In step i), the side channels of the microbath, etched using the same deep reactive ion etching (DRIE) procedure, have been omitted. The thickness of the various
PDF
Album
Supp Info
Full Research Paper
Published 27 May 2020

Hexagonal boron nitride: a review of the emerging material platform for single-photon sources and the spin–photon interface

  • Stefania Castelletto,
  • Faraz A. Inam,
  • Shin-ichiro Sato and
  • Alberto Boretti

Beilstein J. Nanotechnol. 2020, 11, 740–769, doi:10.3762/bjnano.11.61

Graphical Abstract
PDF
Album
Review
Published 08 May 2020

Deterministic placement of ultra-bright near-infrared color centers in arrays of silicon carbide micropillars

  • Stefania Castelletto,
  • Abdul Salam Al Atem,
  • Faraz Ahmed Inam,
  • Hans Jürgen von Bardeleben,
  • Sophie Hameau,
  • Ahmed Fahad Almutairi,
  • Gérard Guillot,
  • Shin-ichiro Sato,
  • Alberto Boretti and
  • Jean Marie Bluet

Beilstein J. Nanotechnol. 2019, 10, 2383–2395, doi:10.3762/bjnano.10.229

Graphical Abstract
  • ], nanopillars in 4H-SiC formed by reactive ion etching (RIE) for the improvement of the VSi emission collection efficiency [50], and the use of a solid immersion lens (SIL) for an enhancement factor of three of single VSi [4]. Recent results of the successful enhancement of VSi in 4H-SiC based on nanopillars
PDF
Album
Full Research Paper
Published 05 Dec 2019

Integration of sharp silicon nitride tips into high-speed SU8 cantilevers in a batch fabrication process

  • Nahid Hosseini,
  • Matthias Neuenschwander,
  • Oliver Peric,
  • Santiago H. Andany,
  • Jonathan D. Adams and
  • Georg E. Fantner

Beilstein J. Nanotechnol. 2019, 10, 2357–2363, doi:10.3762/bjnano.10.226

Graphical Abstract
  • silicon oxide and the LSNT layers are patterned by photolithography to cover only the etched pits. (iv) Deep reactive ion etching (DRIE) is used to etch the silicon vertically and laterally (4 and 1 µm, respectively) in order to provide access for the SU8 polymer to fill the base of the tips in the
PDF
Album
Full Research Paper
Published 29 Nov 2019

Development of a new hybrid approach combining AFM and SEM for the nanoparticle dimensional metrology

  • Loïc Crouzier,
  • Alexandra Delvallée,
  • Sébastien Ducourtieux,
  • Laurent Devoille,
  • Guillaume Noircler,
  • Christian Ulysse,
  • Olivier Taché,
  • Elodie Barruet,
  • Christophe Tromas and
  • Nicolas Feltin

Beilstein J. Nanotechnol. 2019, 10, 1523–1536, doi:10.3762/bjnano.10.150

Graphical Abstract
  • silicon wafers. The technique is based on using a direct-writing system (Raith-Vistec EBPG 5000+ electron-beam lithography system) and PMMA resist. After developing, the mask is transferred using RIE (reactive-ion etching). The P900H60 grating is used as a transfer standard and was calibrated by means of
  • -writing system and a PMMA resin in which various patterns are then made by reactive ion etching (RIE). Some tests were also carried out with lift-off techniques for metallic deposition, but the results were found to be less conclusive for the deposit. Results and Discussion Repeatability of AFM and SEM
PDF
Album
Full Research Paper
Published 26 Jul 2019

Renewable energy conversion using nano- and microstructured materials

  • Harry Mönig and
  • Martina Schmid

Beilstein J. Nanotechnol. 2019, 10, 771–773, doi:10.3762/bjnano.10.76

Graphical Abstract
  • : materials and devices” covers the photo-electrochemical growth of platinum catalysts at plasmonic hot spots [6], the laser-assisted local growth of chalcopyrite absorbers [4], the preferential reactive ion etching of silicon by morphological anisotropies [5], the oxidation of copper nanoparticles resulting
PDF
Editorial
Published 26 Mar 2019

Geometrical optimisation of core–shell nanowire arrays for enhanced absorption in thin crystalline silicon heterojunction solar cells

  • Robin Vismara,
  • Olindo Isabella,
  • Andrea Ingenito,
  • Fai Tong Si and
  • Miro Zeman

Beilstein J. Nanotechnol. 2019, 10, 322–331, doi:10.3762/bjnano.10.31

Graphical Abstract
  • array. The standard manufacturing procedure of c-Si heterojunction solar cells was followed, with the only addition of a cost-effective mask-less reactive ion etching step to create nanowires on the surface of the p-type Si wafer. The resulting 5 × 5 mm2 cell exhibits a best-device efficiency of 11.8
  • characterisation The nanowire array was manufactured on a p-type mono-crystalline silicon wafer by reactive ion etching (RIE) using a gaseous mixture of SF6 and O2, followed by standard cleaning, rinsing in de-ionised water and drying of the substrate. In particular, the SF6/O2 plasma provides a continuous flow of
PDF
Album
Supp Info
Full Research Paper
Published 31 Jan 2019

Femtosecond laser-assisted fabrication of chalcopyrite micro-concentrator photovoltaics

  • Franziska Ringleb,
  • Stefan Andree,
  • Berit Heidmann,
  • Jörn Bonse,
  • Katharina Eylers,
  • Owen Ernst,
  • Torsten Boeck,
  • Martina Schmid and
  • Jörg Krüger

Beilstein J. Nanotechnol. 2018, 9, 3025–3038, doi:10.3762/bjnano.9.281

Graphical Abstract
  • layer was always observed. In order to avoid the undesired formation of a thin CuGaSe2 layer connecting the separate CIGSe islands after processing, this gallium wetting layer was removed by a mild reactive ion etching step in Ar+ plasma. LIFT approach The second approach presented here for the
  • approach (left) to CISe micro absorbers (middle and right). Scheme of the process for manufacturing solar cells from microabsorbers. a) CISe absorber, b) spin coating of photoresist (insulator), c) reactive ion etching in Ar+ plasma, and d) addition of CdS and ZnO buffer layers and Al:ZnO front contact
  • CIGSe islands, it is necessary to remove the uppermost part of the SU8 layer, such that the top of the islands is exposed. Upon choosing an appropriate initial viscosity, the SU8 layer is significantly thicker on the substrate than on top of the islands. Therefore, a mild treatment by reactive ion
PDF
Album
Review
Published 12 Dec 2018

Metal–dielectric hybrid nanoantennas for efficient frequency conversion at the anapole mode

  • Valerio F. Gili,
  • Lavinia Ghirardini,
  • Davide Rocco,
  • Giuseppe Marino,
  • Ivan Favero,
  • Iännis Roland,
  • Giovanni Pellegrini,
  • Lamberto Duò,
  • Marco Finazzi,
  • Luca Carletti,
  • Andrea Locatelli,
  • Aristide Lemaître,
  • Dragomir Neshev,
  • Costantino De Angelis,
  • Giuseppe Leo and
  • Michele Celebrano

Beilstein J. Nanotechnol. 2018, 9, 2306–2314, doi:10.3762/bjnano.9.215

Graphical Abstract
  • patterns are transferred on the resist with a second lithography step. Two non-selective etching processes are then performed: a first CHF3-mediated reactive ion etching (RIE) removes the 3 nm SiOx layer which is no longer needed, while the second inductively coupled plasma RIE with SiCl4/Ar gas treatment
PDF
Album
Full Research Paper
Published 27 Aug 2018

Self-assembled quasi-hexagonal arrays of gold nanoparticles with small gaps for surface-enhanced Raman spectroscopy

  • Emre Gürdal,
  • Simon Dickreuter,
  • Fatima Noureddine,
  • Pascal Bieschke,
  • Dieter P. Kern and
  • Monika Fleischer

Beilstein J. Nanotechnol. 2018, 9, 1977–1985, doi:10.3762/bjnano.9.188

Graphical Abstract
  • , different techniques such as reactive ion etching, thermal evaporation and atomic layer deposition can be used in combination with BCML [13][14][15]. Here it is important to choose the optimum chain length of the diblock copolymers for obtaining the desired inter-particle spacing [16][17]. It is thus
  • ) chloride (KAuCl4, 0.1 wt %, Sigma-Aldrich), to grow the gold precursor particles with the electroless deposition process. Reactive ion etching (Oxford Plasmalab 80 Plus) was used to remove the polymer with an oxygen plasma treatment with the following settings: process pressure 100 mTorr, power 100 W
PDF
Album
Full Research Paper
Published 12 Jul 2018
Other Beilstein-Institut Open Science Activities